EDA技术复习题
这是一份 vhdl 的复习题,考试题大多数都来自此处, 一、一、 EDAEDA 名词解释名词解释 1 1、、 ASICASIC::专用集成电路专用集成电路(Application Specific Integrated Circuits)(Application Specific Integrated Circuits) 2 2、、 EDAEDA::电子设计自动化电子设计自动化(Electronic Design Automation)(Electronic Design Automation) 3 3、、 PROMROM::可编程只读存储器可编程只读存储器(Programmable(ProgrammableRead Memory)Read Memory) 4 4、、 IPIP::知识产权核(知识产权核(IntellectualIntellectualPropertyProperty )) 5 5、、 SOCSOC:: 片上系统(片上系统(System On ChipSystem On Chip)) 6 6、、 VHDLVHDL::超高速集成电路硬件描述语言(超高速集成电路硬件描述语言(VHSIC Hardware DescriptionVHSIC Hardware Description LanguageLanguage)) 。。 7 7、、 RTLRTL:: 寄存器传输级寄存器传输级(Register Transport Level)(Register Transport Level) 8 8、、 SOPCSOPC::可编程片上系统(可编程片上系统(System On Programmable ChipSystem On Programmable Chip)) 9 9、、 PLDPLD::可编程逻辑器件可编程逻辑器件(Programmable Logic Array)(Programmable Logic Array) 1010、、 GALGAL::通用阵列逻辑(通用阵列逻辑(GeniricGeniricArray LogicArray Logic)) 1111、、 FPGAFPGA::现场可编程门阵列现场可编程门阵列(Field Programmable Gate Array )(Field Programmable Gate Array ) 1212、、 CPLDCPLD::复杂可编程逻辑器件复杂可编程逻辑器件(Complex Programmable Logic Device)(Complex Programmable Logic Device) 1 二、二、 简答题简答题 1 1、、 简述简述 VHDLVHDL 程序的基本结构。程序的基本结构。 库、程序包、实体、结构体、配置 2 2、、 子程序分为那两类,其结构为什么。子程序分为那两类,其结构为什么。 子程序有两种类型,即过程(PROCEDURE)和函数(FUNCTION)。 FUNCTIONFUNCTION 函数名(参数表)函数名(参数表) RETURNRETURN数据类型数据类型-- --函数首函数首 FUNCTIONFUNCTION函数名(参数表)函数名(参数表)RETURNRETURN数据类型数据类型 ISIS-- -- 函数体函数体 [ [说明部分说明部分 ] ] BEGINBEGIN 顺序语句顺序语句 ; ; END FUNCTIONEND FUNCTION函数名函数名; ; PROCEDUREPROCEDURE 过程名过程名( (参数表参数表) )-- -- 过程首过程首 PROCEDUREPROCEDURE 过程名过程名( (参数表参数表) IS) IS-- -- 过程体过程体 [ [说明部分说明部分] ] BIGINBIGIN 顺序语句顺序语句; ; END PROCEDUREEND PROCEDURE 过程名过程名; ; 3 3、、 信号与变量的赋值有何区别?。信号与变量的赋值有何区别?。 信号延时赋值,变量立即赋值; 信号的代入使用Z, B1 = Y); END a; 2222、、 编写一个编写一个 2 2 输入与门的输入与门的 VHDLVHDL 程序,请写出库、程序包、实体、构造程序,请写出库、程序包、实体、构造 体相关语句,将端口定义为标准逻辑型数据结构(本题体相关语句,将端口定义为标准逻辑型数据结构(本题 1010 分)分) 22 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;(2) ENTITY nand2 IS PORT (a,b:INSTD_LOGIC;(4) y:OUT STD_LOGIC);(6) END nand2; ARCHITECTURE nand2_1 OF nand2 IS(8) BEGIN y = a NAND b;--与 y =NOT( a AND b);等价(10) END nand2_1; 2323、、 设计异或门逻辑:设计异或门逻辑: (本题(本题 2020 分)分) 如下异或门,填写右边的真值表。 (此项 5 分) A A 0 0 0 0 1 1 1 1 B B 0 0 1 1 0 0 1 1 Y Y 0 0 1 1 1 1 0 0 其表达式可以表示为: (此项 5 分) 这一关系图示如下: b use ieee.std_logic_1164.all; entity yihuo1 is port(a,b :in std_logic; y:out std_logic); end yihuo1; architecture yihuo1_behavior of yihuo1 is begin 23 process(a,b) begin if a=b then y= 0 ; else y= 1 ; end if; end process; end yihuo1_behavior; (第 2 种写法) y=a xor b; 2424、、 用用 IFIF 语句编写一个四选一电路,要求输入语句编写一个四选一电路,要求输入 d0d0~~d3,d3, s s 为选择端,输出为选择端,输出 y y。。 entity MUX4 is port( s:in std_logic_vector(1 downto 0); d:in std_logic_vector(3 downto 0); y:out std_logic ); end MUX4; architecture behave of MUX4 is begin process(s) begin if (s=“00“) then y=d(0); elsif (s=“01“) then y=d(1); elsif (s=“10“) then y=d(2); elsif (s=“11“) then y=d(3); else null; end if; end process; end behave; 2、编写一个数值比较器 VHDL 程序的进程(不必写整个结构框架) ,要 求使能信号 g 低电平时比较器开始工作,输入信号 p = q,输出equ 为‘0’ , 否则为‘1’ 。 (本题 10 分) proc