蚂蚁文库
换一换
首页 蚂蚁文库 > 资源分类 > PDF文档下载
 

交通灯控制电路设计与仿真

  • 资源ID:55630246       资源大小:280.91KB        全文页数:14页
  • 资源格式: PDF        下载权限:游客/注册会员    下载费用:10积分 【人民币10元】
快捷注册下载 游客一键下载
会员登录下载
三方登录下载: 微信快捷登录 QQ登录  
下载资源需要10积分 【人民币10元】
邮箱/手机:
温馨提示:
支付成功后,系统会自动生成账号(用户名和密码都是您填写的邮箱或者手机号),方便下次登录下载和查询订单;
支付方式: 微信支付    支付宝   
验证码:   换一换

 
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,既可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰   

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、 实验目的 1 、 了解交通灯的燃灭规律。 2 、 了解交通灯控制器的工作原理。 3 、 熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、 实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显 示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右 转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。 要完成本实验, 首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管, 即红、黄、绿各三个。依人们的交通常规, “红灯停, 绿灯行,黄灯提醒” 。 其交通的 燃灭规律为 初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯 亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东 西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口 的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时 间均设定为 20s。数码管的时间总是显示为 19、18、172 、1 、0 、19、18。在显示 时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、 实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示 四、 实验步骤 1 、 打开 QUARTUSII 软件,新建一个工程。 2 、 建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。 3 、 按照实验原理和自己的想法,在 VHDL 编辑窗口编写 VHDL 程序,用户 可参照光盘中提供的示例程序。 4 、 编写完 VHDL 程序后,保存起来。 (1 )-jtdkz.vhd library ieee; use ieee.std_logic_1164.all; entity jtdkz is portclk,sm,sbin std_logic; mr,my0,mg0,br,by0,bg0out std_logic; end entity jtdkz; architecture art of jtdkz is type state_type isA,B,C,D; signal statestate_type; begin cnt processclk is variable sinteger range 0 to 45; variable clr,enbit; begin ifclkevent and clk1then if clr0then s0; elsif en0 then ss; else ss1; end if; case state is when Amrclk11; u2jtdkz port mapclkclk11,smsm,sbsb,mrmr,my0en2,mg0en1,brbr,by0en4,bg0en3 ; u3cskz port mapinaen1,outamg; u4cskz port mapinaen2,outamy; u5cskz port mapinaen3,outabg; u6cskz port mapinaen4,outaby; u7cnt45s port mapclkclk11,sbsb,en45en1,dout45ms45m,dout45bs45b; u8cnt05s port mapclkclk11,en05men2,dout5s05,en05ben4; u9cnt25s port mapclkclk11,smsm,sbsb,en25en3,dout25ms25m,dout25bs25b; u10xskz port mapen45en1,en05men2,en25en3,en05ben4,ain45ms45m,ain45bs45b,ain25m s25m,ain25bs25b,ain05s05,doutm3 downto 0ym1,doutm7 downto 4ym2,doutb3 downto 0ym3,doutb7 downto 4ym4; u11ymq port mapclkclk,ain41ym1,ain42ym2,ain43ym3,ain44ym4,deldel1,dout7dout ; end architecture art; 9div_clk.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div_clk is portclk in std_logic; clk1out std_logic; end div_clk; architecture behav of div_clk is signal cntinteger range 1000 downto 0; begin processclk begin if clkevent and clk1 then if cnt999 then cnt0; else cntcnt1; end if; if cnt500 then clk10; else clk11; end if; end if; end process; end behav; 5 引脚分配 6 实验现象 当主、支道均有车时,两者交替允许通行,主干道每次放行 45s,支干道每次放行 25s, 在每次由亮绿灯变成亮红灯的转换过程中,要亮 5s 的黄灯作为过度,并进行减计时显示。

注意事项

本文(交通灯控制电路设计与仿真)为本站会员(zxj412342)主动上传,蚂蚁文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知蚂蚁文库(发送邮件至2303240369@qq.com或直接QQ联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们


网站客服QQ:2303240369

copyright@ 2017-2027 mayiwenku.com 

网站版权所有  智慧蚂蚁网络

经营许可证号:ICP备2024020385号



收起
展开